Tool chain for Lattice iCE40 FPGAs

There is now a complete open source tool chain for some FPGAs from Lattice Semiconductor. The tool chain consists of the following tools:

It currently supports two FPGAs:

There are quite affordable evaluation kits for these two FPGAs called "iCEstick Evaluation Kit" and "iCE40-HX8K Breakout Board".

To get a Verilog code file called mydesign.v to "run" on an FPGA, the following commands are needed:

The .pcf file is a file containing the mapping between pin numbers and ports of the Verilog module. It consist of multiple lines of "set_io D1 99", where D1 in this case is an input or output of the Verilog module called "D1", and 99 is the pin number of the Lattice FPGA.